Leading Research University Selects Veeco’s New Integrated Molecular Beam Epitaxy and Atomic Layer Deposition System for Hybrid Gallium Nitride Deposition Research

뉴스 | Oct 12, 2022

Justus Liebig University Giessen to Use the Dual Platform for Next Generation microLED and Photocatalysis Applications

Plainview, N.Y. – Veeco Instruments Inc. (나스닥: VECO) announced today that it has received an order from Justus Liebig University Giessen (University of Giessen) for an integrated GENxplor® R&D Molecular Beam Epitaxy (MBE) and Fiji® Atomic Layer Deposition (ALD) system. The GENxplor MBE system enables the epitaxial growth of high-quality materials for III-nitride semiconductors for photonic and electronic applications focusing on material research and the development of cubic gallium nitride (GaN) material. This dual platform allows for in-vacuum wafer transfer from the GENxplor system to the plasma-enhanced Fiji ALD system and back, and will further enable research breakthroughs for applications such as microLEDs, optical memory and next generation materials for photocatalysis and water splitting.

According to Professor Sangam Chatterjee, Head of the University of Giessen Spectroscopy and Optics Group, the integrated GENxplor and Fiji systems were chosen because of Veeco’s process and system design expertise.

“The decision to partner with Veeco was based on their knowledge of the epitaxial process and their ability to realize an innovative solution that enables our research,” said Professor Chatterjee. “This gives my team confidence that working with Veeco will make our research go beyond established optoelectronics, developing new materials for photocatalysis towards the pressing goals of realizing regenerative energy production and storage.”

The GENxplor system deposits high quality epitaxial layers on substrates up to 3 inch in diameter. The GENxplor platform is known as a highly sophisticated and capable research system that is tailored to meet the unique challenges of leading-edge compound semiconductor material research and development.

The Fiji ALD System is an advanced thin film next-generation ALD system capable of performing thermal and plasma-enhanced deposition in a modular, high-vacuum, flexible architecture that accommodates a wide range of deposition modes using multiple configurations of precursors and plasma gases. The system’s intuitive interface makes it easy to monitor and change recipes and processes as required by customers.

“We are proud to have been selected by Professor Chatterjee and the research team at University of Giessen,” commented Ganesh Sundaram, Ph.D., Veeco’s Vice President of Research and Engineering Technology. “We have seen great interest in combining our proven MBE and ALD technologies to advance semiconductor device performance and this platform, delivered to Professor Chatterjee, exemplifies our ability to create novel solutions that allow our customers to solve difficult material challenges.”

Veeco 소개
Veeco (NASDAQ: VECO) is an innovative manufacturer of semiconductor process equipment. Our proven ion beam, laser annealing, lithography, MOCVD and single wafer etch & clean technologies play an integral role in the fabrication and packaging of advanced semiconductor devices. With equipment designed to optimize performance, yield and cost of ownership, Veeco holds leading technology positions in the markets we serve. To learn more about Veeco’s systems and service offerings, visit www.veeco.com.

Funding Source
This research initiative at the University of Giessen is being supported by the European Union and the European Regional Development Fund. The goal of this development fund is to strengthen economic, social and territorial cohesion in the European Union by correcting imbalances between its regions. This investment in a smarter, greener, more connected and more social Europe demonstrates the European Union’s aim to invest in the future of its citizens.

To the extent that this news release discusses expectations or otherwise makes statements about the future, such statements are forward-looking and are subject to a number of risks and uncertainties that could cause actual results to differ materially from the statements made. These factors include the risks discussed in the Business Description and Management’s Discussion and Analysis sections of Veeco’s Annual Report on Form 10-K for the year ended December 31, 2021 and in our subsequent quarterly reports on Form 10-Q, current reports on Form 8-K and press releases. Veeco does not undertake any obligation to update any forward-looking statements to reflect future events or circumstances after the date of such statements.
###
Veeco Media Contact: Kevin Long | (516) 714-3978 | klong@veeco.com

Recent Posts

Veeco는 HDD 제조 생산성을 한 차원 높여 주는 업계 최고의 기업입니다.

Veeco Announces Date for First Quarter Financial Results and Conference Call

자세히 보기

Veeco Releases Sustainability Report Highlighting Progress and Dedication to ESG Goals

자세히 보기

Veeco Releases Sustainability Report Highlighting Progress and Dedication to ESG Goals

자세히 보기

Leading Semiconductor Innovator Chooses Veeco to Accelerate Next-Generation Advanced Packaging Applications

자세히 보기

당사의 팀에서 언제든 도와드립니다.