델프트 공대(Delft University of Technology), 에너지 저장 솔루션 재료 연구용으로 Veeco의 ALD 시스템 주문

뉴스 | Jun 26, 2018

University’s Materials for Energy Conservation and Storage Group Selects Veeco’s Fiji F200 PE-ALD System to Explore the Properties of Advanced Materials

PLAINVIEW, New York, June 26, 2018 – Veeco Instruments Inc. (나스닥: VECO) today announced that the Materials for Energy Conversion and Storage Group (MECS) at Delft University of Technology has ordered its Fiji® F200™ Plasma-Enhanced Atomic Layer Deposition (PE-ALD) system. Based in The Netherlands, MECS selected the Veeco tool for its superior performance for research on state-of-the-art materials for renewables, storage and advanced energy solutions.

“Our colleagues with the Kavli Nanolab at Delft have reported great success working with the Fiji F200 for their nanotechnology R&D, and we are confident the system’s capabilities will also serve us well as we pursue new materials for sustainable energy applications,” said Fokko Mulder, professor of applied sciences and integrated energy systems at Delft University of Technology. “In particular, we were drawn to the Fiji’s world-class reputation, flexible PE-ALD system architecture, and excellent service and support backed by the technical expertise of Veeco’s ALD scientists. After evaluating different options, the Fiji F200 proved the best platform to meet our advanced experimentation needs.”

The Fiji series is a modular, high-vacuum ALD system that accommodates a wide range of thermal and plasma-enhanced deposition modes using multiple configurations of precursors and gases. Veeco continues to be in the forefront of ALD R&D for energy storage with premier research published in the field of solid-state lithium- and sodium-ion batteries, including stoichiometric multicomponent lithium oxides for higher capacity 3D electrodes, safer solid-state electrolytes, and ultrathin phosphate/aluminate encapsulation layers for improved battery lifetime. With over 500 systems installed worldwide, Veeco’s ALD platforms are used in a wide variety of research and industrial environments, including 3D nanofabrication, electronics, batteries, solar cells, energy and compound semiconductors, as well as exciting new applications to solve some of the world’s most pressing technology and resource challenges.

“The MECS group is one of the top research departments in the world working to meet the growing demand for renewable sources and energy storage solutions,” said Gerry Blumenstock, vice president and general manager of MBE and ALD products at Veeco. “We look forward to helping Professor Mulder and his team at Delft to maximize the benefits of ALD for this important research.”

According to the U.S. Energy Information Administration, global energy consumption will increase by 28 percent between 2015 and 2040, and renewables are the fastest-growing energy source with adoption expected to increase by an average of 2.3 percent each year through 2040. The intermittent nature of renewables is also driving the importance of advanced energy storage research and solutions. The global market for energy storage of renewables is predicted by Navigant Research to grow exponentially from its current nascent stage to reach $23 billion by 2026.

Veeco 소개

Veeco (NASDAQ: VECO) is a leading manufacturer of innovative semiconductor process equipment.  Our proven MOCVD, lithography, laser annealing, ion beam and single wafer etch and clean technologies play an integral role in producing LEDs for solid-state lighting and displays, and in the fabrication of advanced semiconductor devices.  With equipment designed to maximize performance, yield and cost of ownership, Veeco holds technology leadership positions in all these served markets.  To learn more about Veeco’s innovative equipment and services, visit www.veeco.com.

###

Media Contact:       

David Pinto

408-325-6157

dpinto@veeco.com

 

Investor Relations Contact:

Anthony Bencivenga

516-677-0200 x1308

investorrelations@veeco.com

Recent Posts

Veeco는 HDD 제조 생산성을 한 차원 높여 주는 업계 최고의 기업입니다.

Leading Semiconductor Innovator Chooses Veeco to Accelerate Next-Generation Advanced Packaging Applications

자세히 보기

Veeco, 4분기 및 2023년 재무 성과 보고

자세히 보기

Veeco Ships GEN20-Q MBE System to Leading Semiconductor and Opto-Electronic Company

자세히 보기

Veeco Announces Date for Fourth Quarter and Full-Year 2023 Financial Results and Conference Call

자세히 보기

당사의 팀에서 언제든 도와드립니다.